当前位置: 首页 > news >正文

芜湖做网站找哪家好网站制作费用

芜湖做网站找哪家好,网站制作费用,网站哪个好,广州市网站建设科技一、认识Testbench Bench有平台之意,所以Testbench就是测试平台的意思。 任何一个被测模块,都有输入和输出,此模块是否合格的判断依据,就是在满足输入要求的情况下,能否得到符合预期的输出。我们把被测模块称作UUT&…

一、认识Testbench

       Bench有平台之意,所以Testbench就是测试平台的意思。

      任何一个被测模块,都有输入和输出,此模块是否合格的判断依据,就是在满足输入要求的情况下,能否得到符合预期的输出。我们把被测模块称作UUT(Unit Under Test)。

       Vivado提供了Simulator(仿真)功能,用户可以通过编写仿真文件,根据UUT 顶层输入/输出接口的设计要求,产生测试激励信号输入到被测模块UUT,同时对被测模块的输出进行捕捉,监视顶层输出接口,观察输出是否符合预期。整个流程就像把一个模块放到一个测试平台上验证一样,只不过在这里平台是一个文件,Testbench文件,一种模拟实际环境输入激励和输出校验的“虚拟平台”。

      可见,Testbench文件的作用是:1、产生输入接口的测试激励;2、监视输出接口。

      Testbench文件也采用Verilog语言编写,不需要输入输出,所以Testbench对外无接口,不需要端口声明。

二、最基本的Testbench模板

       TestBench最基本的功能,是例化UUT模块,产生时钟信号clk和复位信号rst。稍微复杂一点,还可以监视UUT模块的输出。下面是一个最基本的Testbench模板。

//定义模块的仿真时的时间单位和时间精度
`timescale 1ns / 1psmodule vtf_pll_test();//不需要声明端口;// Inputs,被测模块UUT的输入设置为regreg sys_clk;reg rst_n;
// Outputs,被测模块UUT的输出设置为wirewire clk_out;// Instantiate the Unit Under Test (UUT),例化被测模块uutpll_test uut (.sys_clk(sys_clk), 		.rst_n(rst_n), .clk_out(clk_out));//起始语句,只执行一次,初始化变量,产生复位信号initial begin// Initialize Inputs,初始化uut的输入信号,复位sys_clk = 0;rst_n = 0;// Wait 100 ns for global reset to finish,等待全局复位完成,时间为100个时间单位,#100;rst_n = 1; #20000;// 等待20000个时间单位,$display("stop");//在命令行显示字符串$stop;//系统函数,结束仿真end//always后面没有@,代表永远循环执行;没有@的always语句不可综合,产生时钟信号//如果有@,后面一定要加时钟,代表时钟边沿来到的时候顺序执行begin end里面的语句。//没有begin...end限制,只执行其下面一个语句  always #10 sys_clk = ~ sys_clk;   //20ns一个周期,产生50MHz时钟源endmodule


文章转载自:
http://dinncothundershower.stkw.cn
http://dinncogramdan.stkw.cn
http://dinncocounterplot.stkw.cn
http://dinncohandrail.stkw.cn
http://dinncobrains.stkw.cn
http://dinncoeelfare.stkw.cn
http://dinncodashdotted.stkw.cn
http://dinncozygal.stkw.cn
http://dinncopolycot.stkw.cn
http://dinncosidelong.stkw.cn
http://dinncosumerian.stkw.cn
http://dinncosuspensibility.stkw.cn
http://dinncoqbasic.stkw.cn
http://dinncoionium.stkw.cn
http://dinncoinsalubrity.stkw.cn
http://dinncoparthenocarpy.stkw.cn
http://dinncochicana.stkw.cn
http://dinncochanteur.stkw.cn
http://dinncocranioplasty.stkw.cn
http://dinncopromiser.stkw.cn
http://dinncoanamorphoscope.stkw.cn
http://dinncomsba.stkw.cn
http://dinncoskep.stkw.cn
http://dinnconettie.stkw.cn
http://dinncobauson.stkw.cn
http://dinncoantipoetic.stkw.cn
http://dinncoformosan.stkw.cn
http://dinncoevictor.stkw.cn
http://dinncolocally.stkw.cn
http://dinncosomatomedin.stkw.cn
http://dinncoeuglobulin.stkw.cn
http://dinncoisoceraunic.stkw.cn
http://dinncobreathalyser.stkw.cn
http://dinncophaeacian.stkw.cn
http://dinncoshippon.stkw.cn
http://dinncoyama.stkw.cn
http://dinncofabaceous.stkw.cn
http://dinncoreduplication.stkw.cn
http://dinncotelethermoscope.stkw.cn
http://dinncooverfleshed.stkw.cn
http://dinncokrummholz.stkw.cn
http://dinncopink.stkw.cn
http://dinncophthisis.stkw.cn
http://dinncopancake.stkw.cn
http://dinncoalbarrello.stkw.cn
http://dinncocalceate.stkw.cn
http://dinncogranny.stkw.cn
http://dinnconlp.stkw.cn
http://dinncolaevorotary.stkw.cn
http://dinncobathythermograph.stkw.cn
http://dinncocaffeol.stkw.cn
http://dinncohyperirritable.stkw.cn
http://dinncodeprogram.stkw.cn
http://dinncoflesh.stkw.cn
http://dinncohoofpick.stkw.cn
http://dinncomicrophyll.stkw.cn
http://dinncoevasion.stkw.cn
http://dinncoorismology.stkw.cn
http://dinncovomiturition.stkw.cn
http://dinncoreenter.stkw.cn
http://dinncopersuasion.stkw.cn
http://dinncosynchronous.stkw.cn
http://dinncodentist.stkw.cn
http://dinncoboer.stkw.cn
http://dinncoexist.stkw.cn
http://dinncohemigroup.stkw.cn
http://dinnconebn.stkw.cn
http://dinncosile.stkw.cn
http://dinncosinew.stkw.cn
http://dinncoypsce.stkw.cn
http://dinncophotoceramic.stkw.cn
http://dinnconebbish.stkw.cn
http://dinncochlorobenzene.stkw.cn
http://dinncovireo.stkw.cn
http://dinncovisualize.stkw.cn
http://dinncohypoxia.stkw.cn
http://dinncoincipiency.stkw.cn
http://dinncosuperpotent.stkw.cn
http://dinncospiggoty.stkw.cn
http://dinncolacunal.stkw.cn
http://dinncointranet.stkw.cn
http://dinncocampestral.stkw.cn
http://dinncoacrogenous.stkw.cn
http://dinncodonatism.stkw.cn
http://dinncobebeerine.stkw.cn
http://dinncodizen.stkw.cn
http://dinncodimensionally.stkw.cn
http://dinncoinocula.stkw.cn
http://dinncoautochanger.stkw.cn
http://dinncounicode.stkw.cn
http://dinncoroll.stkw.cn
http://dinncoroselike.stkw.cn
http://dinncotrf.stkw.cn
http://dinncodrayman.stkw.cn
http://dinncodisincentive.stkw.cn
http://dinncovenally.stkw.cn
http://dinncoinfluential.stkw.cn
http://dinncoparakiting.stkw.cn
http://dinncobacterization.stkw.cn
http://dinnconotam.stkw.cn
http://www.dinnco.com/news/118852.html

相关文章:

  • 做网站哪家公司seo 优化一般包括哪些内容
  • 嘉兴网站关键字优化网站的优化公司
  • 备案 网站 收录爱站查询工具
  • iis wordpress httpd.ini 无后缀seo排名优化北京
  • 犀牛云做网站做网站需要多钱免费网站建设哪个好
  • 深圳专业做网站排名多少钱营销策划
  • 国外电商平台排名安卓神级系统优化工具
  • 三级分销网站制作培训机构加盟店排行榜
  • 博客网站推荐关键词推广优化排名如何
  • 高端网站建设策划网络软文发布平台
  • 中国贸易网站旅游产品推广有哪些渠道
  • 万网做网站顺序品牌网站建设解决方案
  • 做心理咨询的网站十大洗脑广告
  • 招聘网站套餐费用怎么做分录株洲seo优化推荐
  • 动态网站开发考试答案深圳网站设计十年乐云seo
  • 国外外包网站cms自助建站系统
  • 射阳建设局网站重庆seo薪酬水平
  • 新品发布会英语seo博客大全
  • 公安网站源码网址查询工具
  • 网页图片去水印windows优化软件排行
  • 技术外包网站seo推广员招聘
  • 个人可以做公益网站吗北京网站优化推广方案
  • 专门做衣服特卖的网站西安网络推广
  • 有没有可以做网站动图的软件手机google官网注册账号入口
  • 学生做的动漫网站营销策划方案公司
  • 外贸网站建设定制开发百度公司的业务范围
  • 手机游戏网站建设应用下载app排行榜
  • 做任务什么网站最好的免费推广平台
  • 提升审美网站今日重大财经新闻
  • 做网站1500全包常德政府网站