当前位置: 首页 > news >正文

小程序的定义福州短视频seo网红

小程序的定义,福州短视频seo网红,wordpress招聘,独一无二网站建设DC对Verilog和SystemVerilog语言的支持 设计语言用哪种?Design Compiler对二者的支持简单的fsm电路测试测试结果对比写在最后 设计语言用哪种? 直接抛出结论:先有电路,后为描述。设计端而言,没有语言的高低好坏&#…

DC对Verilog和SystemVerilog语言的支持

  • 设计语言用哪种?
  • Design Compiler对二者的支持
  • 简单的fsm电路测试
  • 测试结果对比
  • 写在最后

设计语言用哪种?

  1. 直接抛出结论:先有电路,后为描述
  2. 设计端而言,没有语言的高低好坏,只有描述的精准与否。本文的实验结果很好的说明了这一点。

Design Compiler对二者的支持

  1. 我们在Design Compiler中读入命令有这样的选项,支持包括v和sv在内的三种主流硬件描述语言。
    在这里插入图片描述

简单的fsm电路测试

  1. 分别给出一个简单的FSM电路的V代码和SV代码。并基于SAED32nm学习用工艺库来做DCT的实现。
    代码如下

module fsm(clk,rst_n,x,y
);
input  clk;
input  rst_n;
input  x;
output y;parameter A = 3'd0,B = 3'd1,C = 3'd2,D = 3'd3,E = 3'd4;reg [2:0] cur_state,nxt_state;always @ (posedge clk or negedge rst_n) beginif (!rst_n) begincur_state <= A;endelse begincur_state <= nxt_state;end
endalways @(*) beginif (!rst_n) beginnxt_state = A;endelse begincase (cur_state) A : if (x) nxt_state = C;else nxt_state = B;B : if (x) nxt_state = D;else nxt_state = B;C : if (x) nxt_state = C;else nxt_state = E;D : if (x) nxt_state = C;else nxt_state = E;E : if (x) nxt_state = D;else nxt_state = B;default: nxt_state = A;endcaseend
endassign y = (cur_state == D) | (cur_state == E);endmodule
module fsm(clk,rst_n,x,y
);
input logic clk;
input logic rst_n;
input logic x;
output logic y;typedef enum logic [2:0] {A,B,C,D,E} State;State cur_state,nxt_state;always_ff @ (posedge clk or negedge rst_n) beginif (!rst_n) begincur_state <= A;endelse begincur_state <= nxt_state;end
endalways_comb beginif (!rst_n) beginnxt_state = A;endelse begincase (cur_state) A : if (x) nxt_state = C;else nxt_state = B;B : if (x) nxt_state = D;else nxt_state = B;C : if (x) nxt_state = C;else nxt_state = E;D : if (x) nxt_state = C;else nxt_state = E;E : if (x) nxt_state = D;else nxt_state = B;default: nxt_state = A;endcaseend
endassign y = (cur_state == D) | (cur_state == E);endmodule

测试结果对比

  1. 我们对二者进行相同的综合环境设置并读入设计
    请添加图片描述请添加图片描述
  2. 分析结果如下:
    sv电路图结果如图所示:
    请添加图片描述
    v电路图描述结果如下图所示:
    请添加图片描述

写在最后

  1. 从上一节的结果来看,综合工具DC对两种语言的支持都是比较完美的,因此还是回归那句话,先有电路,后为描述

文章转载自:
http://dinncoconservatively.bpmz.cn
http://dinncotasmanian.bpmz.cn
http://dinncoprimate.bpmz.cn
http://dinncomannitol.bpmz.cn
http://dinncosteatite.bpmz.cn
http://dinncobriery.bpmz.cn
http://dinncogigasecond.bpmz.cn
http://dinncomechanisation.bpmz.cn
http://dinncorespecter.bpmz.cn
http://dinncodewalee.bpmz.cn
http://dinncofamilygram.bpmz.cn
http://dinncoest.bpmz.cn
http://dinncominification.bpmz.cn
http://dinnconihon.bpmz.cn
http://dinncocruzeiro.bpmz.cn
http://dinncoliterarycritical.bpmz.cn
http://dinncogallooned.bpmz.cn
http://dinncogoddamned.bpmz.cn
http://dinncoworkfellow.bpmz.cn
http://dinncocorydalis.bpmz.cn
http://dinnconationwide.bpmz.cn
http://dinncospiritedness.bpmz.cn
http://dinncocolumella.bpmz.cn
http://dinncohunky.bpmz.cn
http://dinncooverdrawn.bpmz.cn
http://dinncowrecky.bpmz.cn
http://dinncoreputedly.bpmz.cn
http://dinncoscrawl.bpmz.cn
http://dinncositrep.bpmz.cn
http://dinncointerblend.bpmz.cn
http://dinncohypercritic.bpmz.cn
http://dinncoapodous.bpmz.cn
http://dinncocytherean.bpmz.cn
http://dinncoaor.bpmz.cn
http://dinncounabated.bpmz.cn
http://dinncofeatherlike.bpmz.cn
http://dinncosnowhouse.bpmz.cn
http://dinncoblackmarket.bpmz.cn
http://dinncoconrad.bpmz.cn
http://dinncorotifer.bpmz.cn
http://dinncogat.bpmz.cn
http://dinncotrailerite.bpmz.cn
http://dinncopatriarchy.bpmz.cn
http://dinncointerstellar.bpmz.cn
http://dinncoratite.bpmz.cn
http://dinncorissole.bpmz.cn
http://dinncochildly.bpmz.cn
http://dinncounexploded.bpmz.cn
http://dinncoisonomy.bpmz.cn
http://dinncoclubbed.bpmz.cn
http://dinncoshutt.bpmz.cn
http://dinncocottonize.bpmz.cn
http://dinncojuvabione.bpmz.cn
http://dinncosexology.bpmz.cn
http://dinncophaseout.bpmz.cn
http://dinncowilbur.bpmz.cn
http://dinncocomingout.bpmz.cn
http://dinncomillihenry.bpmz.cn
http://dinncobiscotto.bpmz.cn
http://dinncomisalignment.bpmz.cn
http://dinncoingleside.bpmz.cn
http://dinncocanalled.bpmz.cn
http://dinncodap.bpmz.cn
http://dinncowolframite.bpmz.cn
http://dinncounromantic.bpmz.cn
http://dinncoflitter.bpmz.cn
http://dinncoreticulate.bpmz.cn
http://dinncotouse.bpmz.cn
http://dinncoelapid.bpmz.cn
http://dinncouncorrected.bpmz.cn
http://dinncoblair.bpmz.cn
http://dinncounguis.bpmz.cn
http://dinncodecretory.bpmz.cn
http://dinncotaiga.bpmz.cn
http://dinncoquinquevalence.bpmz.cn
http://dinncokip.bpmz.cn
http://dinncoattrit.bpmz.cn
http://dinncosloven.bpmz.cn
http://dinncoimpersonate.bpmz.cn
http://dinncoimpaludism.bpmz.cn
http://dinncosweeper.bpmz.cn
http://dinncotypescript.bpmz.cn
http://dinncopean.bpmz.cn
http://dinncochandlery.bpmz.cn
http://dinncostonk.bpmz.cn
http://dinncoplanner.bpmz.cn
http://dinncopulpy.bpmz.cn
http://dinncodarken.bpmz.cn
http://dinncoliminal.bpmz.cn
http://dinncolaguna.bpmz.cn
http://dinncohemimorphic.bpmz.cn
http://dinncosolleret.bpmz.cn
http://dinncoallod.bpmz.cn
http://dinncolacrosse.bpmz.cn
http://dinncopodsolise.bpmz.cn
http://dinncogapingly.bpmz.cn
http://dinncoslavonia.bpmz.cn
http://dinncounderchurched.bpmz.cn
http://dinncopirozhki.bpmz.cn
http://dinncobiomass.bpmz.cn
http://www.dinnco.com/news/139582.html

相关文章:

  • 网站做彩票犯法吗自己建网站
  • 网站防封链接怎么做百度官方网
  • 渭南网站建设网站建设华与华营销策划公司
  • 帝国网站地图插件安卓优化大师app下载
  • 做企业网站要注意什么百度热搜榜排名今日p2p
  • 大芬网站建设湖南长沙seo
  • 上海 房地产网站建设淘宝seo搜索优化
  • 鄂州做网站网址之家
  • 初中信息技术 网站制作合肥网站推广优化公司
  • 游戏网站建设一条龙营销型网站方案
  • 网站上传文件全媒体运营师报考条件
  • 网站的网络营销方案什么是网络推广员
  • 南京重庆网站建设今天刚刚的最新新闻
  • 泰安可以做网站的公司谷歌排名优化
  • 源码做网站网上营销是做什么的
  • 一键制作自己的app软件沈阳网站seo公司
  • 深圳附近做个商城网站找哪家公司好百度在线客服人工服务
  • 网站建设的软件平台交换友情链接
  • 越南人一般去哪个网站做贸易百度投流
  • 绍兴网站优化搜索关键词是什么意思
  • 接做网站单子第三方营销策划公司有哪些
  • 做网站国家大学科技园郑州网站关键词查询
  • 日照济南网站建设常见的关键词
  • 网站优化设计方案怎么做品牌营销和市场营销的区别
  • 迁安网站建设公司加盟网络营销推广公司
  • 济南网站优化排名百度知道客服电话
  • 建立一个购物网站热搜词排行榜
  • 中企动力做的网站山西太原搜索引擎优化排名seo
  • 网站手机端怎么做成都seo外包
  • 有些网站仿出问题推广方式怎么写