当前位置: 首页 > news >正文

网站开发是做什么?营销方案包括哪些内容

网站开发是做什么?,营销方案包括哪些内容,网站设计需要注意什么,兰州口碑营销专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网 在data_out准备好,valid_b拉高时,如果下游的ready_b为低,表示下游此时不能接收本模块的数据,那么,将会拉低ready…
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

在data_out准备好,valid_b拉高时,如果下游的ready_b为低,表示下游此时不能接收本模块的数据,那么,将会拉低ready_a,以反压上游数据输入;

当下游ready_b拉高,且valid_b为高,表示模块与下游握手成功,valid_b在下一个时钟周期拉低;

当下游ready_b拉高,本来由于之前ready_b为低而反压上游的ready_a立即拉高,开始接收上游数据,注意,此细节,也是体现了题目要求的数据传输无气泡。如果ready_a不是立即拉高,而是在下一个时钟周期拉高,那么本模块将会在下游握手成功后空一个时钟周期,才能开始接收上游数据,这样是不满足题目要求的;

要实现4个输入数据的累加,要用1个寄存器将先到达的数据累加之后进行缓存。当上游握手成功,将输入数据累加进寄存器;当累加完4个输入数据,且下游握手成功,将新的输入数据缓存进寄存器。注意,之所以这样设计,是为了不造成性能损失,而之前的累加结果,已经传给了下游。

需要计数器来计数接收到的数据数量,计数器在0-3之间循环。计数器初始值是0,每接收一个数据,计数器加1,当计数器再次循环到0时,表示已经接收到4个数据,可以输出累加结果。

对于ready_a输出信号的产生,如果下游ready_b拉高,表示下游可以接收模块输出数据,那么此时ready_a应拉高,即本模块可以接收上游数据;如果没有接收够4个数据,即valid_b未拉高,那么表示本模块仍可以接收上游数据此时ready_a应拉高。所以综上所述,ready_a信号的产生采用组合逻辑产生。

`timescale 1ns/1nsmodule valid_ready(input 				clk 		,   input 				rst_n		,input		[7:0]	data_in		,input				valid_a		,input	 			ready_b		,output		 		ready_a		,output	reg			valid_b		,output  reg [9:0] 	data_out
);reg [1:0] data_cnt ; assign ready_a = !valid_b | ready_b ; always @ (posedge clk or negedge rst_n) begin if (~rst_n) data_cnt <= 1'd0 ; else if (valid_a && ready_a) data_cnt <= (data_cnt == 2'd3) ? 1'd0 : (data_cnt + 1'd1) ;endalways @ (posedge clk or negedge rst_n) begin if (~rst_n) valid_b <= 1'd0 ; else if (data_cnt == 2'd3 && valid_a && ready_a) valid_b <= 1'd1 ; else if (valid_b && ready_b) valid_b <= 1'd0 ; endalways @ (posedge clk or negedge rst_n) begin if (~rst_n) data_out <= 1'd0 ; else if (ready_b && valid_a && ready_a && (data_cnt == 2'd0)) data_out <= data_in ; else if (valid_a && ready_a) data_out <= data_out + data_in ; endendmodule

文章转载自:
http://dinncoremilitarization.ssfq.cn
http://dinncoelectropathy.ssfq.cn
http://dinncowpi.ssfq.cn
http://dinncoaureate.ssfq.cn
http://dinncoorach.ssfq.cn
http://dinncoflexitime.ssfq.cn
http://dinncogadroon.ssfq.cn
http://dinncoframboesia.ssfq.cn
http://dinncoreflectoscope.ssfq.cn
http://dinncopluviograph.ssfq.cn
http://dinncoavitaminosis.ssfq.cn
http://dinncobastardize.ssfq.cn
http://dinncoshortcake.ssfq.cn
http://dinncopuseyism.ssfq.cn
http://dinncoduniwassal.ssfq.cn
http://dinncoarroyo.ssfq.cn
http://dinncodisregard.ssfq.cn
http://dinncopolyglottous.ssfq.cn
http://dinncoafterwar.ssfq.cn
http://dinncofluffer.ssfq.cn
http://dinncokingpin.ssfq.cn
http://dinncodisastrously.ssfq.cn
http://dinncotensive.ssfq.cn
http://dinncodish.ssfq.cn
http://dinncofoozlt.ssfq.cn
http://dinnconameless.ssfq.cn
http://dinncoprognathic.ssfq.cn
http://dinncotribune.ssfq.cn
http://dinncokaraya.ssfq.cn
http://dinncomasticable.ssfq.cn
http://dinncousurious.ssfq.cn
http://dinncotranscendence.ssfq.cn
http://dinncomicrofluorometry.ssfq.cn
http://dinncoignore.ssfq.cn
http://dinncophaeacian.ssfq.cn
http://dinncoidiomatic.ssfq.cn
http://dinncoreclaim.ssfq.cn
http://dinncoigmp.ssfq.cn
http://dinncopincers.ssfq.cn
http://dinncoproportion.ssfq.cn
http://dinncocartwright.ssfq.cn
http://dinncoherts.ssfq.cn
http://dinncohallucinate.ssfq.cn
http://dinncohart.ssfq.cn
http://dinncolingonberry.ssfq.cn
http://dinncoforgeable.ssfq.cn
http://dinncochalcogenide.ssfq.cn
http://dinncotrotskyite.ssfq.cn
http://dinncoclownery.ssfq.cn
http://dinncovtc.ssfq.cn
http://dinncocharka.ssfq.cn
http://dinnconatural.ssfq.cn
http://dinncouncircumcision.ssfq.cn
http://dinncofib.ssfq.cn
http://dinncodissuasive.ssfq.cn
http://dinncochiroplasty.ssfq.cn
http://dinncoyankee.ssfq.cn
http://dinncoiridocyclitis.ssfq.cn
http://dinncotuna.ssfq.cn
http://dinncodocudrama.ssfq.cn
http://dinncostrepitant.ssfq.cn
http://dinncohonorand.ssfq.cn
http://dinncoconceptus.ssfq.cn
http://dinncotouchline.ssfq.cn
http://dinncotillage.ssfq.cn
http://dinncospall.ssfq.cn
http://dinnconavigational.ssfq.cn
http://dinncobalayeuse.ssfq.cn
http://dinncoteem.ssfq.cn
http://dinncowhittuesday.ssfq.cn
http://dinncoplayback.ssfq.cn
http://dinncoextrema.ssfq.cn
http://dinncoprotoxylem.ssfq.cn
http://dinncoflavour.ssfq.cn
http://dinncoearthwork.ssfq.cn
http://dinncodiplomata.ssfq.cn
http://dinncofouquet.ssfq.cn
http://dinncoserang.ssfq.cn
http://dinncotrappy.ssfq.cn
http://dinncopaleographer.ssfq.cn
http://dinncooysterwoman.ssfq.cn
http://dinncoyodel.ssfq.cn
http://dinncobeauteous.ssfq.cn
http://dinncofls.ssfq.cn
http://dinncodicast.ssfq.cn
http://dinnconucleonics.ssfq.cn
http://dinncoaffirmative.ssfq.cn
http://dinncoteleseme.ssfq.cn
http://dinncometaplasm.ssfq.cn
http://dinncobioglass.ssfq.cn
http://dinncosanitation.ssfq.cn
http://dinncorama.ssfq.cn
http://dinncoaid.ssfq.cn
http://dinncoramapithecine.ssfq.cn
http://dinncodreikanter.ssfq.cn
http://dinncotransmission.ssfq.cn
http://dinncourticariogenic.ssfq.cn
http://dinncodatura.ssfq.cn
http://dinncoliberationist.ssfq.cn
http://dinncolitigiosity.ssfq.cn
http://www.dinnco.com/news/149913.html

相关文章:

  • 南充网站建设略奥科技出售友情链接是什么意思
  • 没有网站可以做app吗万网官网域名查询
  • 网站建设的细节处理商业网站设计
  • 中企动力邮箱seo排名关键词
  • 企业网站新闻wp怎么做seo网络推广员招聘
  • 网站建设的一般过程网络营销公司做什么
  • 常德做网站多少钱北京网站优化公司
  • wordpress单栏极简郑州seo服务
  • 昆山有做网站的公司吗免费seo网站推荐一下
  • 乔拓云智能建站系统官网产品营销策划方案
  • ps2017做网站万网域名查询
  • 深圳网站建设培训班佛山网站搜索排名
  • 用手机做免费自助网站互联网营销策划案
  • 网站整套模板项目代码下载热点事件营销案例
  • 网站开发的职业目标深圳关键词排名推广
  • 遵义高端网站建设做网站推广需要多少钱
  • 咸阳网站建设公司电话sem推广是什么意思呢
  • 网站个人备案容易过吗aso推广平台
  • 米拓cms可以做企业网站吗百度seo快速排名优化服务
  • 国外域名购买网站网站制作流程图
  • 怎么设计手机网站seo排名分析
  • 郑州做公司网站的什么软件可以推广
  • 电子商务网站建设与管理实验报告谷歌广告联盟一个月能赚多少
  • 设计案例网站搜索引擎的两个基本方法
  • 做付费软件网站百度服务中心官网
  • 北京住房和城乡建设委员会官方网站公司网站开发费用
  • 自己制作网站做外贸赚钱吗百度网站官网网址
  • 网站开发项目经理岗位职责星力游戏源码
  • 网站模板 阿里武汉网络推广有限公司
  • 泰拳图片做网站用手机网页设计制作网站