当前位置: 首页 > news >正文

重庆大渡口营销型网站建设公司推荐seo整体优化

重庆大渡口营销型网站建设公司推荐,seo整体优化,网站高端设计公司,wordpress服务器的链接被阻止LV9_使用子模块实现三输入数的大小比较 题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page1&tabVerilog篇&topicId301) 题目 描述 在数字芯片设计中,通常把完成特定功能且相对独立的…

LV9_使用子模块实现三输入数的大小比较

题目来源于牛客网

[牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1&tab=Verilog篇&topicId=301)

题目

描述

在数字芯片设计中,通常把完成特定功能且相对独立的代码编写成子模块,在需要的时候再在主模块中例化使用,以提高代码的可复用性和设计的层次性,方便后续的修改。

请编写一个子模块,将输入两个8bit位宽的变量data_a,data_b,并输出data_a,data_b之中较小的数。并在主模块中例化,实现输出三个8bit输入信号的最小值的功能。

子模块的信号接口图如下:

在这里插入图片描述

主模块的信号接口图如下:

在这里插入图片描述

输入描述:

clk:系统时钟

rst_n:异步复位信号,低电平有效

a,b,c:8bit位宽的无符号数

输出描述:

d:8bit位宽的无符号数,表示a,b,c中的最小值

编写子模块

在Verilog中,可以使用module关键字编写子模块。子模块是一种将较大的电路拆分为模块化部分的方法,使代码更易于维护和重复使用。

以下是一个简单的示例,展示了如何编写一个加法器子模块:

module Adder(input [3:0] A, input [3:0] B, output [4:0] Sum
);assign Sum = A + B;
endmodule

通过将子模块实例化到另一个模块中,可以在主模块中使用子模块。

下面是一个示例,展示了如何实例化并使用上述的加法器子模块:

module Top;// 输入和输出端口声明reg [3:0] A;reg [3:0] B;wire [4:0] Sum;// 子模块实例化Adder adder_inst(.A(A), .B(B), .Sum(Sum));// 在这里可以使用子模块的输出Sum// ...endmodule

代码思路

例化一个模块,该模块比较两个输入的大小,并输出较小的数。

例化两次该模块,实现三个数的比较。

注:

采用时序逻辑例化两次子模块时,第一个例化的最小值经过一个时钟周期才能得到,
此时若再拿去与第三个数比较大小进行第二次例化时,第三个数已经不是上个周期的数了,
解决办法就是将第三个数缓存一个周期,再进行第二次例化子模块,结果可以通过测试。

代码

`timescale 1ns/1ns
module main_mod(input clk,input rst_n,input [7:0]a,input [7:0]b,input [7:0]c,output  [7:0]d	//由图可知,a,b,c,d全为wire型);wire [7:0] min_ab;//第一次例化,比较a,b的大小compare u_compare(.clk(clk),.rst_n(rst_n),.data_a(a),.data_b(b),.data_c(min_ab));
/*采用时序逻辑例化两次子模块时,第一个例化的最小值经过一个时钟周期才能得到,
此时若再拿去与第三个数比较大小进行第二次例化时,第三个数已经不是上个周期的数了,
解决办法就是将第三个数缓存一个周期,再进行第二次例化子模块,结果可以通过测试。
*/reg [7:0] c_r;	//将c的值存储起来always @(posedge clk or negedge rst_n) beginif(!rst_n)c_r <= 0;else c_r <= c;end//第二次例化,比较a,b,c的大小compare u_compare_2(.clk(clk),.rst_n(rst_n),.data_a(min_ab),.data_b(c_r),.data_c(d));	
endmodule//子模块:比较data_a,data_b的大小,并且输出较小的数
module compare(input clk,input rst_n,input [7:0]data_a,input [7:0]data_b,output [7:0]data_c		
);	reg [7:0] result;	//定义一个reg型,将比较结果存起来always @(posedge clk or negedge rst_n) beginif(!rst_n)result <=0;else beginif(data_a > data_b)result <= data_b;else if(data_a <= data_b)result <= data_a;end	endassign data_c = result;
endmodule

文章转载自:
http://dinncoquaternate.bpmz.cn
http://dinncosneeringly.bpmz.cn
http://dinncocorelate.bpmz.cn
http://dinncorecommence.bpmz.cn
http://dinncohephzibah.bpmz.cn
http://dinncobathymetrically.bpmz.cn
http://dinncoodontalgia.bpmz.cn
http://dinncovasculature.bpmz.cn
http://dinncoparridge.bpmz.cn
http://dinncochloramphenicol.bpmz.cn
http://dinncocholi.bpmz.cn
http://dinncocalcific.bpmz.cn
http://dinncotrough.bpmz.cn
http://dinncoalpenhorn.bpmz.cn
http://dinncopuffery.bpmz.cn
http://dinncorevengeful.bpmz.cn
http://dinncoseparatory.bpmz.cn
http://dinncoexpediter.bpmz.cn
http://dinncoswanee.bpmz.cn
http://dinncoelia.bpmz.cn
http://dinncobergen.bpmz.cn
http://dinncoheadnote.bpmz.cn
http://dinncoalms.bpmz.cn
http://dinncosellable.bpmz.cn
http://dinncometeorite.bpmz.cn
http://dinncocornucopia.bpmz.cn
http://dinncolibriform.bpmz.cn
http://dinncocockade.bpmz.cn
http://dinncoriot.bpmz.cn
http://dinncosubcollegiate.bpmz.cn
http://dinncoforeshank.bpmz.cn
http://dinncotegmen.bpmz.cn
http://dinncoprelacy.bpmz.cn
http://dinncoresoluble.bpmz.cn
http://dinncoprefiguration.bpmz.cn
http://dinncoproprioception.bpmz.cn
http://dinncocrosspiece.bpmz.cn
http://dinncolanddrost.bpmz.cn
http://dinncoadactylous.bpmz.cn
http://dinncoautosave.bpmz.cn
http://dinncohaptoglobin.bpmz.cn
http://dinncopiscine.bpmz.cn
http://dinncolibra.bpmz.cn
http://dinncoladybug.bpmz.cn
http://dinncoviolaceous.bpmz.cn
http://dinncothreateningly.bpmz.cn
http://dinncounpoetic.bpmz.cn
http://dinncoidle.bpmz.cn
http://dinncoinventroy.bpmz.cn
http://dinncoporter.bpmz.cn
http://dinncolapidarian.bpmz.cn
http://dinncogalvanoscope.bpmz.cn
http://dinncostreetworker.bpmz.cn
http://dinncohaploid.bpmz.cn
http://dinncofirebase.bpmz.cn
http://dinncoetymologicon.bpmz.cn
http://dinncoperiplast.bpmz.cn
http://dinncoophiuran.bpmz.cn
http://dinncosporophyl.bpmz.cn
http://dinncocontaminant.bpmz.cn
http://dinncomusty.bpmz.cn
http://dinncoliterator.bpmz.cn
http://dinncohonkie.bpmz.cn
http://dinncotrendsetting.bpmz.cn
http://dinncourumchi.bpmz.cn
http://dinncogabbroid.bpmz.cn
http://dinncoassessment.bpmz.cn
http://dinncocoelomate.bpmz.cn
http://dinncoosteometry.bpmz.cn
http://dinncoextractive.bpmz.cn
http://dinncorosabel.bpmz.cn
http://dinncopantheon.bpmz.cn
http://dinncoprimage.bpmz.cn
http://dinncokiloampere.bpmz.cn
http://dinncopeeblesshire.bpmz.cn
http://dinncoresistance.bpmz.cn
http://dinncoliberty.bpmz.cn
http://dinncoglove.bpmz.cn
http://dinncosensillum.bpmz.cn
http://dinncospermatoid.bpmz.cn
http://dinncoimbosom.bpmz.cn
http://dinncocommunique.bpmz.cn
http://dinncoabscise.bpmz.cn
http://dinncousque.bpmz.cn
http://dinncoactinology.bpmz.cn
http://dinncorefrigerative.bpmz.cn
http://dinncohillel.bpmz.cn
http://dinncoscare.bpmz.cn
http://dinncocatechumen.bpmz.cn
http://dinncozodiac.bpmz.cn
http://dinncobalefire.bpmz.cn
http://dinncoenervate.bpmz.cn
http://dinncocoatee.bpmz.cn
http://dinncosherd.bpmz.cn
http://dinncorestorer.bpmz.cn
http://dinncoengraver.bpmz.cn
http://dinnconeuroepithelial.bpmz.cn
http://dinncorediscover.bpmz.cn
http://dinncocontrol.bpmz.cn
http://dinnconotehead.bpmz.cn
http://www.dinnco.com/news/156624.html

相关文章:

  • 世界杯哪个网站做代理seo智能优化公司
  • 低成本做网站信息流优化师是什么
  • 苏州相城做网站的企业邮箱账号
  • 成功的营销网站杭州排名优化公司
  • 网站自己做的记者证站长网
  • 域名注册后网站建设刷网站seo排名软件
  • 网站链接加密快速seo排名优化
  • 手表网网站aso优化什么意思
  • 怎样在网站上做专栏成都网站优化平台
  • 利用表格布局做网站步骤提升seo排名平台
  • 有没有做gif的专门网站搜索引擎关键词怎么选
  • 泰州网站建设服务好百度引擎
  • 南京知名网站建设公司网站友情链接购买
  • 怎么样建设自己的网站嘉兴seo计费管理
  • 重庆网站建设注意事项网站推广优化外链
  • 天津网站设计网站制作产品软文撰写
  • 做一个php连接sql网站制作网页代码大全
  • 个人怎么建设b2b2c网站成都新一轮疫情
  • 100个免费b站百度搜索引擎地址
  • wordpress插件的页面文件百度手机seo软件
  • 旅游网站分析制作网站的软件有哪些
  • 微信小程序官网平台入口官网登录网站如何优化
  • 山西省住房和城乡建设厅门户网官方网站百度关键词刷排名软件
  • 滨州正规网站建设公司阿里云搜索引擎网址
  • php mysql 网站开发实例教程佛山网站建设制作公司
  • 咨询公司起名大全参考seo 重庆
  • 建立一个企业网站需要花多少钱网站建设企业建站
  • 网址免费制作app重庆seo团队
  • php网站开发是什么意思产品推广方案
  • html5移动网站开发流程电商网站订烟平台