当前位置: 首页 > news >正文

一个网站怎么做新闻模块市场调研报告范文3000字

一个网站怎么做新闻模块,市场调研报告范文3000字,网站建设通路,大二网页设计实训总结Vivado合成功能 •同步有限状态机(FSM)组件的特定推理能力。 •内置FSM编码策略,以适应您的优化目标。 •FSM提取默认启用。 •使用-fsm_extraction off可禁用fsm提取。 FSM描述 Vivado综合支持Moore和Mealy中的有限状态机(…

Vivado合成功能

•同步有限状态机(FSM)组件的特定推理能力。

•内置FSM编码策略,以适应您的优化目标。

•FSM提取默认启用。

•使用-fsm_extraction off可禁用fsm提取。

FSM描述

Vivado综合支持Moore和Mealy中的有限状态机(FSM)规范形式。FSM由以下部分组成:

•状态寄存器

•下一个状态功能

•输出功能

FSM图

下图显示了包含Mealy和Moore的FSM表示机器。

下图显示了一个包含三个进程的FSM图。

FSM寄存器

•指定Vivado合成的重置或通电状态,以识别有限状态机(FSM)或将FSM_ENCODING的值设置为“none”。

•状态寄存器可以异步或同步重置为特定状态。

注意:FSM使用同步重置逻辑而非异步重置逻辑。

自动状态编码

当FSM_ENCODING设置为“自动”时,Vivado合成会尝试选择最适合的给定FSM的编码方法。

一个热状态编码

一个热状态编码具有以下属性:

•是状态机的默认编码方案,最多32个状态。

•通常是优化速度或减少功耗的好选择。

•为每个FSM状态分配一个不同的代码位。

•实现状态寄存器,每个状态有一个触发器。

•在操作期间的给定时钟周期中,仅断言状态寄存器的一位。

•在两种状态之间的转换过程中,只有两位切换。

灰度编码

灰度编码具有以下属性:

•保证只有一位在两个连续状态之间切换。

•适用于无分支的长路径控制器。

•最大限度地减少危险和故障。

•可用于最大限度地减少功耗。

Johnson状态编码

当使用包含长路径且没有的状态机时,Johnson State编码是有益的分支(如在灰度编码中)。

顺序状态编码

顺序状态编码具有以下属性:

•识别长路径

•将连续的基数2代码应用于这些路径上的状态。

•最小化下一个状态方程。

Filename: fsm_1.v
// State Machine with single sequential block
//fsm_1.v
module fsm_1(clk,reset,flag,sm_out);
input clk,reset,flag;
output reg sm_out;
parameter s1 = 3'b000;
parameter s2 = 3'b001;
parameter s3 = 3'b010;
parameter s4 = 3'b011;
parameter s5 = 3'b111;
reg [2:0] state;
always@(posedge clk)
begin
if(reset)
begin
state <= s1;
sm_out <= 1'b1;
end
else
begin
case(state)
s1: if(flag)
begin
state <= s2;
sm_out <= 1'b1;
end
else
begin
state <= s3;
sm_out <= 1'b0;
end
s2: begin state <= s4; sm_out <= 1'b0; end
s3: begin state <= s4; sm_out <= 1'b0; end
s4: begin state <= s5; sm_out <= 1'b1; end
s5: begin state <= s1; sm_out <= 1'b1; end
endcase
end
end
endmodule
FSM Example with Single Sequential Block (VHDL)
Filename: fsm_1.vhd
-- State Machine with single sequential block
-- File: fsm_1.vhd
library IEEE;
use IEEE.std_logic_1164.all;
entity fsm_1 is
port(
clk, reset, flag : IN std_logic;
sm_out : OUT std_logic
);
end entity;
architecture behavioral of fsm_1 is
type state_type is (s1, s2, s3, s4, s5);
signal state : state_type;
begin
process(clk)
begin
if rising_edge(clk) then
if (reset = '1') then
state <= s1;
sm_out <= '1';
else
case state is
when s1 => if flag = '1' then
state <= s2;
sm_out <= '1';
else
state <= s3;
sm_out <= '0';
end if;
when s2 => state <= s4;
sm_out <= '0';
when s3 => state <= s4;
sm_out <= '0';
when s4 => state <= s5;
sm_out <= '1';
when s5 => state <= s1;
sm_out <= '1';
end case;
end if;
end if;
end process;
end behavioral;

FSM报告

Vivado合成在日志文件中标记INFO消息,提供有关有限状态的信息机器(FSM)组件及其编码。以下是消息示例:

INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module
'fsm_test'
INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using
encoding 'sequential' in module 'fsm_test'
http://www.dinnco.com/news/40574.html

相关文章:

  • wordpress 4.4.2优化公司排名
  • 网站页头页尾怎样做深圳推广不动产可视化查询
  • 网站建设合同标的怎么写网站建设流程步骤
  • 郑州做花店网站营销的方法和技巧
  • 举报网站建设新闻今天的最新新闻
  • 网站集约化建设的优势淘宝新店怎么快速做起来
  • 长沙网站排名公司哪家好免费二级域名注册申请
  • 国外室内设计案例网站做网站优化的公司
  • 大连城市建设集团网站seo提高关键词
  • 哪些网站专做新闻23岁老牌网站
  • 苏州网站开发公司兴田德润优惠吗常用的搜索引擎有哪些
  • 无障碍网站建设标准百度竞价排名是以什么形式来计费的广告?
  • 如何做网站经营性备案营销型制作网站公司
  • wordpress单页主题制作视频教程怎么做优化
  • 做个网站站内推广的方法
  • 溧水区住房建设局网站大作设计网站
  • 找个人做网站的免费一键搭建网站
  • 成都网站建设外包公司排名拉人注册给佣金的app
  • 网站开发与管理课程拉新推广怎么快速拉人
  • 浙江省住房建设厅网站网上全网推广
  • 小程序权限超时网站seo专员招聘
  • 万能建站网站seo网站优化方法
  • 环保网站 中企动力建设外包公司软件开发
  • 滨海做网站的百度指数免费查询入口
  • 版式设计素材网站百度官方推广平台
  • 网站服务器怎么配置推广产品的软文怎么写
  • 盐城做网站公司手机如何建网站
  • 上下滚屏网站模板seo网络推广优化教程
  • 北京企业建站服务中企seo网站推广培训
  • 漂亮的网站框架网页推广怎么收取费用