当前位置: 首页 > news >正文

深圳网页设计兴田德润实惠菏泽资深seo报价

深圳网页设计兴田德润实惠,菏泽资深seo报价,网页升级访问正在跳转中,做电商网站php开发的流程牛客网Verilog刷题——VL55 题目答案 题目 请用Verilog实现4位约翰逊计数器(扭环形计数器),计数器的循环状态如下:   电路的接口如下图所示: 输入输出描述: 信号类型输入/输出位宽描述clkwireInput1系统…

牛客网Verilog刷题——VL55

  • 题目
  • 答案

题目

  请用Verilog实现4位约翰逊计数器(扭环形计数器),计数器的循环状态如下:
在这里插入图片描述
  电路的接口如下图所示:

在这里插入图片描述
  输入输出描述:

信号类型输入/输出位宽描述
clkwireInput1系统时钟信号
rst_nwireInput1异步复位信号,低电平有效
QregOutput4输出约翰逊计数器

答案

`timescale 1ns/1nsmodule JC_counter(input                clk ,input                rst_n,output reg [3:0]     Q  
);always @(posedge clk or negedge rst_n) beginif(!rst_n)Q <= 4'd0;elseQ <= {~Q[0],Q[3:1]};
endendmodule
http://www.dinnco.com/news/9321.html

相关文章:

  • 百姓网站制作网站推广优化服务
  • 线下推广是做什么的网站推广优化怎样
  • 无限动力营销型网站建设免费seo公司
  • 企业网站用vps还是虚拟主机百家号关键词排名
  • 质量基础设施一站式服务工作站宁波seo外包服务
  • 佛山市做网站的公司青岛网站建设运营推广
  • 有动效网站营销策划案
  • 深圳CSS3网站建设价格橙子建站
  • 重庆建站管理系统信息知识付费网站搭建
  • 用dedecms织梦做中英文网站郴州网站建设推广公司
  • 关键词自动优化工具qq群排名优化软件购买
  • 喀什网站建设营销渠道方案
  • 网站开发网络课程营销型网站有哪些功能
  • 广州荔湾做网站公司站长工具seo综合查询分析
  • 深圳积分商城网站制作高级搜索引擎
  • 建站之星官网建设刷关键词排名seo软件
  • 网站建设的基本流程如何做网络推广运营
  • 泊头做网站的全球疫情最新数据消息
  • 仪征市建设局网站网站的优化从哪里进行
  • 不要域名做网站站长之家源码
  • 淘宝代码网站有哪些小程序开发需要哪些技术
  • 我国外贸企业网站建设整合营销传播工具有哪些
  • 做网站小程序挣钱吗今日最新头条新闻条
  • 网站编辑的职业特点有哪些佛山网站建设公司哪家好
  • 天津武清做淘宝网站seo优化分析
  • 做销售在哪个网站找客户端快速排名网站
  • 给做网站建设的一些建议企业网站搜索优化网络推广
  • 天长做网站常州seo排名收费
  • 公司网站后台怎么上传视频发软文的平台
  • 做外围网站代理合法不网站建立具体步骤是