当前位置: 首页 > news >正文

国外被动收入网站做的好的输入关键词自动生成标题

国外被动收入网站做的好的,输入关键词自动生成标题,网站开发 项目的招标文件,如何在网站上做qq群链接目录 一、组合逻辑 二、多路选择器简介: 三、实战演练 摘要:本实验设计并实现了一个简单的多路选择器,文章后附工程代码 一、组合逻辑 组合逻辑是VerilogHDL设计中的一个重要组成部分。从电路本质上讲,组合逻辑电路的特点是输…

目录

一、组合逻辑

二、多路选择器简介:

三、实战演练


摘要:本实验设计并实现了一个简单的多路选择器,文章后附工程代码

一、组合逻辑

组合逻辑是VerilogHDL设计中的一个重要组成部分。从电路本质上讲,组合逻辑电路的特点是输出信号只是当前时刻输入信号的函数,与其他时刻的输入状态无关,无存储电路,也没有反馈电路。

二、多路选择器简介:

多路选择器是数据选择器的别称,在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器或多路开关。

三、实战演练

开发平台:Quartus Prime 18.1Standard Edition                 EP4CE10F17C8(征途PRO系列)

1、实验目标:设计并实现2选1多路选择器,主要功能是通过选通控制信号sel确定选通in_1路或in_2路作为信号输出。当选通控制信号S为1时,信号输出为in_1路信号;当选通控制信号sel为0时,信号输出为in_2信号

2、模块框图:

输入输出信号描述:

3、模块波形图:

4、实验代码

module mux2_1 (input           wire        in_1,input           wire        in_2,input           wire        sel ,
​output          reg         out
);
always @(*) begin//always @(in_1,in_2,sel) beginif(sel == 1'b1)out = in_1;elseout = in_2;
end
endmodule
`timescale 1ns/1ns
module tb_mux2_1 ();reg             in_1;reg             in_2;reg             sel ;wire            out ;       initial beginin_1 <= 1'b0;in_2 <= 1'b0;sel  <= 1'b0;
end
​
always #10  in_1 <= {$random} % 2;
always #10  in_2 <= {$random} % 2;
always #10  sel  <= {$random} % 2;
​mux2_1 mux2_1_inst(. in_1(in_1),. in_2(in_2),. sel (sel ),. out (out )
);
​
endmodule

5、仿真波形图:

7、引脚绑定

6、上板验证:略

7、项目工程

链接:https://pan.baidu.com/s/1WA_O13RfpUoZtocgRJuW0A?pwd=yykl 
提取码:yykl

四、总结

本实验设计并实现了一个简单的多路选择器,并完成了代码的仿真。

参考:[野火]FPGA Verilog开发实战指南

http://www.dinnco.com/news/12520.html

相关文章:

  • 外国网站怎么做b2b外贸接单平台
  • 网站代理备案价格seo建站是什么
  • 工信部网站验证码搜索引擎技术包括哪些
  • 网站内容的重要性磁力链最佳的搜索引擎
  • 金坛网站建设公司外贸公司如何做推广
  • 广安哪里有做网站的公司湖南网络优化服务
  • vps可以做多少网站网站开发工具
  • 大米网站模板软件制作
  • 免费无版权图片网站优化设计三年级上册答案语文
  • 发布建设网站百度竞价开户
  • 个人网站备案需要几天高明公司搜索seo
  • 专做蓝领招聘网站有哪些腾讯企点是干嘛的
  • 网站制作手机端seo课程
  • wordpress定制器seort什么意思
  • 网站统计模块整站优化快速排名
  • 以下工具属于网站设计工具的是市场营销咨询
  • 武汉市住房和城乡建设厅官方网站专业网店推广
  • 网站的标志可以修改吗站长统计幸福宝下载
  • 海南招聘网企业优化推广
  • 什么网站做烘干设备好推特最新消息今天
  • wordpress电视剧主题深圳seo优化外包
  • 国外域名网站baidu百度网盘
  • 西安网站建设行业北京seo公司wyhseo
  • 网站改版声明百度正版下载
  • ui培训多少学费外贸seo建站
  • 日照建网站百度站长工具综合查询
  • 怎么在文档中做网站一点就开百度竞价排名的使用方法
  • 做静态网站需要成本吗湖南网站建设加盟代理
  • php制作公司网站首页百度问答我要提问
  • wordpress注册页模板下载贵阳关键词优化平台